Skip to content
Snippets Groups Projects
Commit ca6f8f26 authored by BAZIN Jean-Noel's avatar BAZIN Jean-Noel
Browse files

fix adrgenunit and automate header

parent a470a307
No related branches found
No related tags found
No related merge requests found
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity adrgenUnit is
......
......@@ -9,22 +9,22 @@ entity automate is
I_go : in std_logic;
I_EndImage : in std_logic;
I_NewLine : in std_logic;
-- signaux de commandes vers l'unit� oprative
-- Signals to drive operativeUnit
O_ldPix11 : out std_logic;
O_ldPix21 : out std_logic;
O_ldPix31 : out std_logic;
O_shReg : out std_logic;
O_ldPixEdge : out std_logic;
-- signaux de commandes vers le g�n�rateur d'adresses
--Signals to drive addrGenUnit
O_clr_PtrLine : out std_logic;
O_inc_PtrLine : out std_logic;
O_clr_PtrCol : out std_logic;
O_inc_PtrCol : out std_logic;
O_selPix : out std_logic_vector (1 downto 0);
-- signaux de commandes vers les m�moires
-- Signals to drive memories
O_enM_R : out std_logic;
O_enM_W : out std_logic;
-- signal de commande vers le contr�leur VGA
-- Signal to drive the VGA display
O_StartDisplay : out std_logic
);
end automate;
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment