diff --git a/src/hdl/adrgenUnit.vhd b/src/hdl/adrgenUnit.vhd index bc334f9bcdd8edefefade18fe97564f4b8d8ef83..f0b2c0d5ec1655a4b0f4e09c082ec16d3ab9eaf0 100644 --- a/src/hdl/adrgenUnit.vhd +++ b/src/hdl/adrgenUnit.vhd @@ -1,7 +1,7 @@ -library IEEE; -use IEEE.STD_LOGIC_1164.all; -use IEEE.NUMERIC_STD.all; +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; entity adrgenUnit is diff --git a/src/hdl/automate.vhd b/src/hdl/automate.vhd index a2b9ec6632dc7c2e2dc84b44d61863c81cd03a2f..82d52a1d5c1c7a962cebacff284ee215e9da6d6f 100644 --- a/src/hdl/automate.vhd +++ b/src/hdl/automate.vhd @@ -9,22 +9,22 @@ entity automate is I_go : in std_logic; I_EndImage : in std_logic; I_NewLine : in std_logic; - -- signaux de commandes vers l'unit� op�rative + -- Signals to drive operativeUnit O_ldPix11 : out std_logic; O_ldPix21 : out std_logic; O_ldPix31 : out std_logic; O_shReg : out std_logic; O_ldPixEdge : out std_logic; - -- signaux de commandes vers le g�n�rateur d'adresses + --Signals to drive addrGenUnit O_clr_PtrLine : out std_logic; O_inc_PtrLine : out std_logic; O_clr_PtrCol : out std_logic; O_inc_PtrCol : out std_logic; O_selPix : out std_logic_vector (1 downto 0); - -- signaux de commandes vers les m�moires + -- Signals to drive memories O_enM_R : out std_logic; O_enM_W : out std_logic; - -- signal de commande vers le contr�leur VGA + -- Signal to drive the VGA display O_StartDisplay : out std_logic ); end automate;